Whowen :]
Owen   Salt Lake City, Utah, United States
 
 
No information given.
Currently Offline
Setun-70 Aug 19, 2021 @ 10:10pm 
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;

entity owen is
port (skill : out std_logic_vector(7 downto 0));
end entity;

architecture owen_arch of owen is
signal skill_buf : signed(7 downto 0);
begin

skill <= std_logic_vector(skill_buf);

skill_buf <= to_signed(-128, 8);

end architecture;
pass to me Jul 6, 2021 @ 6:59pm 
Will never forget meeting this cutie pie at LAN :)
pass to me Jul 6, 2021 @ 6:58pm 
<3
Setun-70 Dec 18, 2020 @ 12:22pm 
~rep stop playing pubg
Reed Dec 18, 2020 @ 11:31am 
Merry christmas oh wait
Guzaboo Jul 17, 2020 @ 11:56pm 
+rep Whowen is a great kid. He is consistently at the top of his class, with a passion for math and science. I fully expect him to excel next year in the fifth grade.